1101 sequence detector state diagram with VHDL code

A sequence detector is good example of sequential logic circuit also called FSM(Finite State Machine). A 1101 sequence detector detects 1101 consecutive bits in a string of binary bits. It is good practice to draw the state diagram of the sequence of process that happens to capture understanding of the behavior of the circuit. In the design of 1101 sequence detector, the design of state diagram is the first step, which then is followed by the creation of state table, state transition table and finally the circuit itself and testing being the final step.

Readers are recommended to read the following posts which are related to this blog post. A detailed steps to construct a sequential circuit is provided in the blog post- How to design Sequence Detector in 10 easy steps. Also another post useful in sequential circuit is- how to design Moore sequential circuit in Simulink. And futhermore this post- how to use Xilinx Schematic editor with example of sequence detector shows how xilinx software can be used to design and verify the sequence detector.

The state diagram of 1101 sequence detector is shown below-


State S1:
 Beginning at state S1 when 0 is received it stays in the same state because it has nothing to remember and the output is 0 because the sequence 1101 is not detected. Only at the instant when 1101 sequence is detected the output is high, that is, 1. Also remember that the flip flops should be used when things are to be remembered by the circuit. When 1 arrives when in state S1, then it goes to next state S2 and it remembers that 1 was received which is part of the sequence 1101 which is to be detected.

State S2:
When in state S2, when 1 arrives, since it is part of the sequence it goes to next state S3, meaning it remembers 1. When 0 is received it cannot go to next state S3(since 1 received has occupied the transition condition and because 0 is not part of the sequence and there is nothing to remember), and it cannot remain in the same state S2 because this would mean 010 indefinite loop while in state S2, therefore it goes back to the initial state S1. Consider 100 is received and machine remains in S2 when 0 is received, then because of 1 the state changes from S1 to S2, then 0 is received then the machine stays in S2 and when another 0 is received then it stays again in S2. But consider when 100 is received and machine goes back to S1, then when 1 is received it changes state from S1 to S2, when 0 is received then goes back to S1 and when another 0 is received it stays in S1.

State S3:
When in state S3, when 0 is received then since it is part of the sequence 1101 it goes to new state S4 because the machine has to remember the new bit 0 as part of the sequence detection algorithm. When 1 is received it stays in the same state.

State S4:
When in state S4, when 1 is received then since it is part of the sequence 1101 to be detected it goes to S2. And when 0 is received then it goes back to initial state S1. At this point the machine outputs 1.

Following is a simulated digital waveform for the seqence 10101101010110001


The graph shows that the output z is high when 1101 is detected in the sequence x = 10101101010110001

The VHDL code for implementing this sequence detector is below-

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;

entity sequence_detector is
port (
clk: in STD_LOGIC;
rst: in STD_LOGIC;
x: in STD_LOGIC;
z: out STD_LOGIC);
end sequence_detector;

architecture sequence_detector_arch of sequence_detector is

type seq_detect_type is (
    S1, S2, S3, S4
);

signal seq_detect: seq_detect_type;

begin

seq_detect_machine: process (clk)
begin
if clkevent and clk = 1 then
if rst=1 then
seq_detect <= S1;

else

case seq_detect is
when S1 =>
if x = 1 then
seq_detect <= S2;
elsif x = 0 then
seq_detect <= S1;
end if;
when S2 =>
if x = 1 then
seq_detect <= S3;
elsif x = 0 then
seq_detect <= S1;
end if;
when S3 =>
if x = 1 then
seq_detect <= S3;
elsif x = 0 then
seq_detect <= S4;
end if;
when S4 =>
if x = 1 then
seq_detect <= S2;
elsif x = 0 then
seq_detect <= S1;
end if;

when others =>
null;

end case;
end if;
end if;
end process;

z_assignment:
z <= 0 when (seq_detect = S1 and x = 1) else
     0 when (seq_detect = S1 and (x = 0 and not (x = 1))) else
     0 when (seq_detect = S2 and x = 1) else
     0 when (seq_detect = S2 and (x = 0 and not (x = 1))) else
     0 when (seq_detect = S3 and x = 1) else
     0 when (seq_detect = S3 and (x = 0 and not (x = 1))) else
     1 when (seq_detect = S4 and x = 1) else
     0 when (seq_detect = S4 and (x = 0 and not (x = 1))) else
     0;

end sequence_detector_arch;

The testbench code for the sequence detector is,

library ieee;
use ieee.STD_LOGIC_UNSIGNED.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity sequence_detector_tb is
end sequence_detector_tb;

architecture TB_ARCHITECTURE of sequence_detector_tb is

component sequence_detector
port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
x : in STD_LOGIC;
z : out STD_LOGIC );
end component;

signal clk : STD_LOGIC;
signal rst : STD_LOGIC;
signal x : STD_LOGIC;
signal z : STD_LOGIC;

begin

UUT : sequence_detector
port map (
clk => clk,
rst => rst,
x => x,
z => z
);

clk_process : process
begin
clk <= 0;
wait for 5 ns;

clk <= 1;
wait for 5 ns;

end process;

sti_process: process
begin
x <= 0;
wait for 10 ns;

x <= 0;
wait for 10 ns;

x <= 1;
wait for 10 ns;

x <= 0;
wait for 10 ns;

x <= 1;
wait for 10 ns;

x <= 0;
wait for 10 ns;

x <= 1;
wait for 10 ns;

x <= 1;
wait for 10 ns;

x <= 0;
wait for 10 ns;

x <= 1;
wait for 10 ns;

x <= 0;
wait for 10 ns;

x <= 1;
wait for 10 ns;

x <= 0;
wait for 10 ns;

x <= 1;
wait for 10 ns;

x <= 1;
wait for 10 ns;

x <= 0;
wait for 10 ns;

end process;

end TB_ARCHITECTURE;

configuration TESTBENCH_FOR_sequence_detector of sequence_detector_tb is
for TB_ARCHITECTURE
for UUT : sequence_detector
use entity work.sequence_detector(sequence_detector_arch);
end for;
end for;
end TESTBENCH_FOR_sequence_detector;
Read More..

Embedded System Programming with C


This is a beginner embedded system programming tutorial where it is shown how to write a C program that turns on a sound alarm when a switch is closed. The 80C51 microcontroller is used to do this. The switch is connected to the pin 0 of port 1 and the sound device is connected to the pin 0 of port 0. The C program continuously monitors the state of the switch and if the switch is switched On, then it sounds alarm that beeps 5 times with one second interval.

Following is the schematic diagram.


The C program is below:

#include <Reg51.H>
#define ON 0
#define OFF 1

sbit BUZZ = P0^0;
sbit BUTTON = P1^0;

void OneSec()  // 1 sec delay function
   {
      unsigned int x;
      for(x=0;x<33000;x++);
      }
     
      main()   //main function
      {
     int i;
   
     BUZZ = OFF;
   
     while(1)
     {
        if(BUTTON==OFF)
        {}
        else
        {
        for(i=1;i<=5;i++)
           {
           BUZZ = ON;
           OneSec();
           BUZZ = OFF;
           OneSec();
           }
        }
      }
     }
   
We defined ON and OFF as 0 and 1 using the preprocessor directive statements #define so that we can write ON and OFF keywords in the program code and it makes it easy to understand the code.

Then we defined two variables BUZZ and BUTTON as sbit data types and assigned them to the port 0, pin 0 and port 1, pin 0.

The OneSec() is a function which when executed takes 1 second. This function will be called in the main function to insert 1 second time interval between sound on and off.

The main function starts with variable initialization. The int i declares an integer i which will be required in outputting 5 signals to the sound device. Then BUZZ = OFF is used to make the port 0, pin 0 an output.

The while(1) statement is used to continuously execute the statements following it(read the state of switch and buzz sound). The if and else statements are used to sound the alarm if the switch is closed or not to sound if it is open.

In the above C program, we could have replaced while(1) with for(;;). Also we could have used while(BUTTON==OFF) instead of if(BUTTON==OFF) in which case the else(and the if) would not be required.

See another beginner embedded C programming tutorial- How to write C program to read/write ports of a microcontroller
Read More..

How to transfer Eagle PCB design to Altium Designer

Cadsoft Eagle and Altium designer are widely used PCB design software. Altium designer users often find schematic, PCB and library in Cadsoft Eagle free file format and want to import them into Altium Designer. There is one trick that converts eagle design files to altium and that is using UCL scripts. But with newer Altium Designer version starting from v14 there is inbuild import program in Altium Designer software that converts Eagle files to Altium Designer.

Eagle provides many PCB designs and tutorials for free and in this post we are going to import one such design file to altium designer to see how the process of converting eagle to altium and also view imported file to see how they look like when they are converted.

Using the import feature is straight forward. The import function is under File > Import Wizard and this brings up the window like the one below,


Clicking Next, brings up the dialog box that allows us to specify what CAD files we want to import. Here we can see Eagle Projects and Designs listed in the list which was not previously on the list. We select that option.


 After selecting that option and clicking next brings up the next window which allows us to add the Eagle schematic and PCB design files. The add button allows us to browse and select the eagle design files.

Then the next screen allows you to convert the eagle library files to altium designer library files. Since in this illustrated pcb design conversion there are no library it is left alone. But if you had eagle library files it good to convert them.


Then alitum designer reads the files for conversion.

The next window allows users to make various reports of the conversion process and specify what things to recognize such as power ports, any ports, net names and others as shown below-


The next screen allows user to specify directory where the converted design files should be saved. The default directory is the same directory as the input directory.

The conversion process starts which takes just seconds to complete. Then the conversion is completed where the user now just has to click on the Finish button.


The converted PCB file is shown below-


The schematic document and the PCB document are under the project file panel.

A glance of 3D view,

The converted schematic design is shown below.


As you can see the schematic and pcb files are very nicely and accurately transferred from Eagle to Altium Designer.

Cadsoft Eagle Free is widely used by students, electronics hobbyist and professional designers and Altium Designer is preferred for more professional PCB designs because of its features and 3D modelling capabilities. Both are very good PCB design software and both can be used for most of the electronics design work.


Read More..

Flash ADC priority encoder vhdl design

The priority encoder is useful in many application not only in digital circuit but also in the mixed circuit such as analog to digital converter(ADC). For example Flash type ADC uses priority encoder to select which of the different inputs should be sampled and encoded into digital digital bits. The following shows how Flash type ADC works first then VHDL model for the priority encoder is developed.

There are different types of ADC and one of them is Parallel Comparator ADC or Flash ADC. The Flash ADC converts analog signal to digital signal very fast compared to other types of ADC such as Dual Slope ADC or Successive Approximation Register(SAR) ADC. That is its conversion time is small. The disadvantage of Flash ADC is that if the resolution is to be increased then the number of comparator has to be increased which makes the converter bulky.

The Flash ADC can be described as being composed of 3 different constituents- the Voltage Divider Resistor(VDR) network, the Comparators(op-amp) and the priority encoder.

The VDR network is used to create voltage reference with which the input analog signal is compared using the comparator. The voltage reference points is created using same value resistor and the number of the reference points to be created is decided by the number of digital bits one wants to produce. As an example, if n=3 bits is used for the digital signal output then the number of the voltage reference point is 2^3-1= 7. Next the input analog signal which is to be converted into digital signal is done by fedding the analog signal to the inputs of the comparators. The analog signal is fed into the non-inverting terminal of the op-amp and the voltage references are connected to the inverting terminal of the op-amp. The output of those op-amp becomes high in which the input signal voltage is greater than the reference voltage. If there are 7 op-amps comparator, then the analog signal voltage becomes greater than the reference voltage starting from the 3rd op-amp then the rest of the op-amp output also becomes high. That is the 3rd, 4th, 5th, 6th and 7th op-amp output are high.

The priority encoder gives priority to that op-amp output which is first activated high, in this case the 3rd op-amp. Then the priority encoder outputs digital bits corresponding to the digit 5.

Thus one use of priority encoder is in the ADC converter. Now the following describes how a priority encoder is modelled in VHDL.



The input to the priority encoder for the 3 bits digital signal output from the ADC are the 7 outputs from the comparator(op-amps). Depending upon which output gets activated first we produce corresponding digital outputs.

Let Cinp be the input to the priority encoder and Dcode be the digital codes. Then the entity declaration of this priority encoder becomes-

entity priority_encoder is
    port(
    Cinp : in std_logic_vector(7 downto 0);
    Dcode : out std_logic_vector(2 downto 0)
    );
end priority_encoder;

Next we need to create the architecture for the priority encoder. The following is the VHDL code for the flash type ADC priority encoder:

architecture priority_encoder_arch of priority_encoder is
begin
Dcode <= "111" when (Cinp(7)=1) else
"110" when (Cinp(6)=1) else
"101" when (Cinp(5)=1) else
"100" when (Cinp(4)=1) else
"011" when (Cinp(3)=1) else
"010" when (Cinp(2)=1) else
"001" when (Cinp(1)=1) else
"000"; 
end priority_encoder_arch;
Read More..

Linear Block encoding explanation via Block Diagram

The process of Linear Block encoding and decoding is presented in block diagram form to show the process involved in encoding and decoding.

Linear block code encoder accepts k bits of data blocks and encodes them into n bits of block called codes. The rate of transmission is R = k/n. It is the most simplest form of channel encoding.

The block diagram below illustrates how the channel encoding in the transmitter side and decoding at the receiving side are performed.

block diagram of linear block encoding/decoding

At the transmitter encoder, Data to be encoded is multiplied by the Generator Matrix G. The generator matrix may or may not be in systematic form. A Generator Matrix not in systematic form can always be manipulated via appropriate row operation to get systematic form of the matrix. The switch shows that either the non-systematic form of systematic form of G can be multiplied with the data sequence D to get the code words C.

Now the code words C have been generated and the data is protected. These codewords are entered to the communication channel like the AWGN channel. This block has been shown to illustrate that there is a channel that might corrupt the codewords. After passing through the channel we get received code words r.
r = c+e
where, e is the possible error introduced by the channel.

This received signal r is multiplied by the transposed parity check matrix Ht. t means transposed. Ht matrix is created from the generator matrix. The various steps to get Ht from G or the Gsys(depending upon initial G assumption) is also shown in the block diagram.

If G is not in the systematic form, then it is converted to systematic form Gsys via row operation. Then from Gsys knowledge we can know the Parity sub matrix P. We transpose P to get its transposed form Pt. Then from the knowledge of Pt we can get the H matrix and by taking the transpose of H we get Ht.

This Ht is now multiplied by the received code vector r get the Syndrome vector S. S is then analyzed to get information about error and correct error if possible. First if S is zero then there is no error and we can strip off the parity bits to get the message data D. Second if S is non-zero than it indicates that there is error. S vector sequence is compared with the column of Hsys to see if there is any match. If there is match in the jth column for example then the jth bit of S is in error and this is then corrected. Then the parity bits are stripped off from the received vector r to get the message data back.
Read More..

Sampling process illustration in Matlab

Here a simple example of sampling process of a signal in matlab is provided. Sampling is effectively applying pulse signal to a transistor that turns it on and off. In matlab this can be stimuated by applying a pulses to an input signal.

Here first impulse(h) is generated using filter and then applied to a sinuoid signal(x) to get the sampled signal(y).

The following line generates an impulse response h.

% generate discrete time impulse signal

a = [1 0 0 0 -1];
d = [1 zeros(1,127)];
h = filter(1,a,d);
n = 0:127;

The following line generates a cosine signal

% generate cosine signal

x = cos(2*pi*n/32);

% generate frequency response for signal x

[X,w] = freqz(x,1,128,whole);

% scale w for plotting properly

wp = fftshift(w)/pi-1;

The frequency spectrum of cosine signal is plotted below,

% plot frequency response of x
figure(1)
plot(wp,X)

Now the cosine signal x is multiplied by h which is effectively the process of sampling.

% sampling with 8 samples/ cycle

y = x.*h;

This sampled signal y is then plotted to get its frequency spectrum

Y = fft(y);

figure(2)
plot(wp,Y);

The overall process is shown in the graph which shows signals and its spectrum at various stages:



The overall matlab code is below,

% generate discrte time impulse signal

a = [1 0 0 0 -1];
d = [1 zeros(1,127)];
h = filter(1,a,d);
n = 0:127;

H = fft(h);

% generate cosine signal

x = cos(2*pi*n/32);

% generate frequency response for signal x

[X,w] = freqz(x,1,128,whole);

% scale w for plotting properly

wp = fftshift(w)/pi-1;

% sampling with 8 samples/ cycle

y = x.*h;

Y = fft(y);

figure

subplot(3,2,1)
plot(n,h)
title(Time Domain Responses)
xlabel(Time)
ylabel(h)
subplot(3,2,2)
plot(n,H);
title(Frequency Responses)
xlabel(Frequency)
ylabel(H)

subplot(3,2,3)
plot(n,x)
xlabel(Time)
ylabel(x)
subplot(3,2,4)
plot(wp,X);
xlabel(Frequency)
ylabel(X)

subplot(3,2,5)
plot(n,y)
xlabel(Time)
ylabel(y)
subplot(3,2,6)
plot(wp,Y);
xlabel(Frequency)
ylabel(Y)
Read More..

How to design a code converter using VHDL

Code converter finds application in digital system design and in this blog it is shown how to design a code converter using VHDL. There are many instances that requires code conversion for example in communication system encoding. For example binary to excess 3 code or binary to gray code or gray code to excess 3 code.

The conversion of codes from one to another can be done in different ways. One way is to construct state diagram. Another way is simply to use case statements or if-then-elsif or select statements. There may be also other ways.

Here it is shown how case statement and select statement can be using to convert binary code to excess 3 code.

The first thing to know is what and how they need to converted. 4bit excess 3 code is obtained by adding 0011 to the 4 bit binary value.

It is helpful to construct a truth table for the conversion.


There are 16 codes listed above, but here only 8 codes will be illustrated as this is enough to illustrate how to implement the conversion.

Let x and y be the input and output respectively, that is x is the binary 4 bit input and y is the 4 bit excess 3 code output.

So the entity declaration would look this,

entity code_converter is
    port(
    x : in std_logic_vector(3 downto 0);
    y : out std_logic_vector(3 downto 0)
    )
end code_converter;

Now to the question of How to design a code converter using VHDL?

First it is shown how select statement can be used to do the code conversion. The architecture for the code converter using the select statment is as follows,

architecture Select_RTL of code_converter is

begin
        with x select
        y <= "0011" when "0000",
        "0100" when "0001",
        "0101" when "0010",
        "0110" when "0011",
        "0111" when "0100",
        "1000" when "0101",
        "1001" when "0110",
        "1010"    when "0111",
        "XXXX" when others;
               
end Select_RTL;

Now the same code conversion can be achieved using case statement as follows,

architecture Case_RTL of code_converter is

begin
    process (x)
    begin
        case x is
            when "0000" => y <= "0011";
            when "0001"    => y <= "0100";
            when "0010"    => y <= "0101";
            when "0011" => y <= "0110";
            when "0100" => y <= "0111";
            when "0101" => y <= "1000";
            when "0110" => y <= "1001";
            when "0111" => y <= "1010";
            when others => y <= "XXXX";
        end case;
       
    end process;
end Case_RTL;

Yet there is another method that can be used to implement this conversion. It is much simpler than the above two methods.

architecture add_RTL of code_converter is

signal y_int : integer;

begin
    y_int <= to_integer(unsigned(x)) + 3;
    y <= std_logic_vector(to_unsigned(y_int,4));

end add_RTL;

In this method, the input binary x was converted to integer and 3 was added to it. The result was converted back to binary bits.

See the tutorial- http://appliedelectronicsengineering.blogspot.com/2014/10/how-to-convert-stdlogicvector-to.html to see how to convert between different data types.

So in this way we can use different methods to design a code converted using VHDL.
Read More..

Approaches to analyze experimentally collected data in Matlab

If you were to analyze two or more random set of data whose dependencies you dont know, how would you proceed to find the relationship of the random variables? In Matab there are many build in function and GUI tools to do this. One is to calculate statistics of which correlation, covariances are important and there is curve fitting with/or regression.

Typical when you have sets of random data, you would see the closeness of the data distribution which is done through correlation(covariance) and/or cross-correlation. The when you see any relation between any two data sets you would proceed to curve fitting.

One way to find the relationship is to find correlation between the data sets. The data set could be linearly or non-linearly relationship. Another similar statistical parameter is the covariance gives the strength of the correlation between the data sets.

This statistics can be calculated in matlab using the following 3 functions-
1. corrcoef
2. cov
3. xcorr

The first corrcoef calculates the correlation coefficient, the second cov function calculates the covariance and the third function xcorr calculates cross-correlation.

Another method of statistical analysis of random data is curve fitting. In this method, a polynomial relates input and output variables via polynomial coefficient.

A generalized polynomial equation is as follows,

y = a1 x^n + a2 x^n-1 +a3 x^n-2 + ......

The Curve fitting problem can be attacked in matlab in two ways. The first is through programming using build in functions polyfit and polyvar and others. The second approach is to use the build in Curve Fittting GUI tool.

Curve Fitting using Programming approach:

There are two matlab function which is used to analyze such polynomial equation- polyfit and polyval.

polyfit calculates the coefficients like a1, a2 .. in the above equation from data x and y. And, polyval calculates y from coefficients and x.

Curve Fitting GUI tool:

Yet another way of analyzing your data is the interactive fitting using the matlab basic fitting tool. Lets illustrate an example on how to use the basic fitting tool in matlab.

Let say you have done some experiment and collected some random data.

To opent the basic fitting tool you need to open the plot window by typing

>> plot(data)

in the matlab command prompt, where data is your random data.

Here is an example of such a plot of random data. Your plot will be different than this.

random plot

The basic fitting tool is in the Tools>Basic Fitting in the toolbar.

curve fitting tool

When you click the -> arrow in the above figure then you will get the complete window as shown below,

curve fitting tool

This tool window basically allows you to
  • Select various interpolation algorithms- spline interpolant and polynomial interpolant
  • Plot data in various forms
  • Compute equation
  • compute coefficients, residuals
  • export the data
and others

The basic procedure here is now to select the interpolation algorithm that you would like to work with such as cubic or spline. Then you select the sub-option for those algorithms. Then the matlab program tries to fit the data that you have provided.
Read More..

Tutorial For Model Style Effect

Model Style Effect

Material:-
Glitter Stars
Texture
Open the PS
Click On The Photo To Edit
Filter>Noise Reduction>Middle
Filter> Effect Film> Cinema>Middle
Auto Level - Middle
Brightness, Color>Brighten> [2 times]
Open the texture and leave the opacity at 64
& Adjust It On Girl Image
 Click Photo+Objects
Now Open The Stars & adjust Them On Right Side
Click Photo+ObjectsSharpen> 2

Click HOME>Grayscale(If U Use Colour Photo)
Result:-

Read More..

Microstrip Antenna Design Handbook by Ramesh Garg

 Microstrip antenna is becoming essential part of electronics devices. Microstrip antenna are nowadays used in every electronics products due to simplicity of incorporating them into the electronics PCB. The Microstrip Antenna Design Handbook by Ramesh Garg explains the design, model design, analysis, simulation and fabrication of such antenna. Download the pdf ebook from the link below-

http://www.filefactory.com/file/cct1tqlodf9/bhartia.pdf



What are contained within the book?

The book is essentially meant for antenna designers, engineers and scientists. It explains questions like what are the advantages of Microstrip antenna and what are the limitation of Microstrip antenna? What are the various types of antenna? such as Patch antenna, printed dipole antenna, slot antenna and others. What are the feeding techniques, coaxial feeds, coplanar feeds, aperture coupled feed etc.
It also explaines the integration of microstrip antenna with electronics circuits. Antenna array design is also discussed.

Another antenna design book is the Microstrip Patch Antenna: A Designer Guide

See tutorials on design of Microstrip Patch Antenna

Read More..

VLC Media Player 2 0 5 32 bit

Read More..

Acelerando windows Full Español

cambia Agunos Valores del registro. consiguiendo aumentar la velocidad de funcionamiento.

[Ver post]

La aplicación puede iniciarse con Windows de tal manera que no haga falta tocar nada.

Lo que podremos optimizar en un 50% es lo siguiente:

Inicio de Windows.

Apagado de Windows.

El inicio de programas sera mucho mas rápido.

Entre otras funciones mas que tiene este programa.

Informacion Tipo: Archivo ejecutable
Compresion: Winrar


Funciona En XP no ha sido probado en Vista.

Descarga:
RapidShare

Descargar...
(Clic en la imagen para descargar)



Read More..

El Padrino Inglés RIP incluye traduccion al español

El Padrino
Juega tus cartas sabiamente y podrás incluso, si eres capaz de controlar hasta el mínimo detalle, ser el próximo y más poderoso don
.
Vive en primera persona una de las películas más brillantes de la historia del cine.
Bienvenido a la Familia Corleone. Tras una vida de trabajos de poca monta y pequeños robos has sido admitido en la organización criminal más famosa de América.
Ahora dependerá de ti ejecutar órdenes, ganar reputación, mejorar en el escalafón y dominar sobre la ciudad de Nueva York.
[Ver post] Juega tus cartas correctamente y acabarás dirigiendo todo como el más poderoso padrino. Una historia en torno a la familia, el respeto y el honor.
El libro de El Padrino de Mario Puzo y la película de Paramount Pictures han servido de inspiración para el videojuego en el que formarás parte de la familia Corleone e irás ganando reputación o causando temor hasta llegar a convertirte en Padrino en el Nueva York de 1945 a 1955.
El videojuego de El Padrino te situará en el centro de la acción de una de las películas más aclamadas de la historia del cine, permitiéndote crearte dentro del juego y elegir tu camino según asciendes dentro de la familia hasta convertirte en un temido y envidiado Padrino.

Requesitos:
Sistema: Windows 2000 / XP
Procesador: 1.4 GHz, Pentium 4 o equivalente
Memoria RAM: 256 MB
Tarjeta gráfica: 64 MB con soporte Transform & Lighting.
Disco duro: 5GB


Detalles:
-El Padrino RIP Ingles, incluye traduccion al español.
-Que se ripeo? Ni idea.
-En el comprimido vienen las instrucciones para instalar, LEANLAS!
-Descomprimir con 7-Zip.
-Son 3 archivos en .RAR 2 de ellos pesan 200MB y uno es de 94.3MB.
y 230.1MB.
-El password para descomprmir el juego es: http://nazawarez.zobyhost.com

Descarga:
RapidShare
Password: http://nazawarez.zobyhost.com

Parte 1/Parte 2/RIP- Parte 3


Descargar traducción al Español:
Traducciones.Clandlan.net


(Clic en la imagen para descargar)


Read More..

Entertainment

Entertainment can come in different forms. Whether it is something good or bad, we just need it our lives. Let me take that back. Some people do not watch television at all either because they made it their own choice or they are too busy to sit down and relax.

I do enjoy watching some of the shows on the Discovery Channel. I probably spend time watching television than doing any other activity. Myth Busters is a good show and Dirty Jobs is just a mess to watch, and Cash Cabs is game to earn money. Im somewhat of a couch potato, but I have those days where I just want to lay in bed or on the couch and just watch television. That is being lazy, but sometimes it just a time to relax and forget about everything that is going on in life. Sometimes I watch too much television. Four to six hours a day is spent watching television. I know TV is not good at times depending on the shows and whatever else can mess up the mind. Usually I watch something that seems interesting after watching the movie or show for ten minutes, and then I may keep watching it. I can recall the classic cartoons that use to come on television and now they are all gone and being replaced. Im sure you all know the cartoons that were out and popular. Now cartoons are involving into more crazy crap and nonsense, but a good laugh is good for everyone. I enjoy watching a good movie. Sometimes I can watch a good horror movie which is just a mind game mixed with suspense in my opinion.

When it comes down to music genre, I normally listen to the radio. I dont listen to everything because I find that there are some music and songs that I do not like. For example, I do not listen to all rap music because of the explicit language and all the other negative elements of that genre. Ill probably find myself turning on the radio listening to FM 100. I am not a fan of country music. I do like to listen to smooth jazz (one person in particular Kenny G). Im pretty much opened to listening to music and songs that are good and tend to calm me down. I tend to not play music too loud because everyone does not like loud music, so I play it at a minimum volume level which is what I prefer at times. I find it good to have music playing while Im doing homework or writing.

I do not listen to anybodys music or something that just does not make sense. Some people do have talent and others can not sing. Sometimes I may watch American Idol whenever I think to flip to the channel. I mostly like the part when they start voting, but it is funnier to see them audition and get turned around. Why would you travel miles away just to see Randy to tell a person that he or she can not go to Hollywood although the person says they can sing when they actually cant sing. If you can sing, you can sing, but if you know you can not hit a high note and not wreck a song, then you should not waste money to travel to another city just to get rejected. That does not make any sense at all. Entertainment is nice I guess because it keeps everyone from being bored and it gives us something to laugh about.
Read More..

Microsoft has released a Bing Desktop 1 2 113 0

Free PROGRAMS
Microsoft has released a Bing Desktop 1.2.113.0 Bing Desktop automatically updates daily the Windows desktop background image to the beautiful image that is on the Bing.com homepage. The user may override the image of the day by manually selecting a different image from the prior eight days.

In addition, Bing Desktop offers an easily accessible yet unobtrusive search box to streamline searching without opening the browser. There are several search box options including a new way to minimize Bing Desktop as a toolbar in the Windows taskbar. Beyond search, Bing Desktop offers direct access to the latest news, trending topics, video and picture highlights without having to launch a browser. Finally, new to Bing Desktop v1.2 is the ability to login to Facebook and see Facebook content right from the Bing Desktop.

Download Bing Desktop 1.2.113.0

Bing Desktop 1.2.113.0 is available at the following website.

  • Bing Desktop

System requirements
Supported operating systems: Windows 7, Windows 7 SP1, Windows 8, Windows Server 2008 R2, Windows Server 2008 R2 SP1, Windows Server 2012, Windows Vista, Windows XP Pro, Windows XP SP3
  • IE 6.0 or higher for better user experience

Source:
Microsoft Bing Desktop program4secure.blogspot.com
Read More..

Magic ISO Maker 5 5 Build 276 Full Portable Multilenguaje

Crea, convierte, descomprime e incluso graba imágenes ISO

Magic ISO Maker es una herramienta para crear, convertir y grabar imágenes ISO y otros formatos de imagen.

A través de un claro y tradicional menú, podrás añadir los archivos cómodamente para crear una imagen o bien realizar fácilmente una imagen de un CD o DVD.
[Ver post]

También es capaz de convertir una imagen ISO a BIN y viceversa, editar imágenes (BIN, NRG, BWI, IMG, MDF, IMG y muchas más), ver y ejecutar aplicaciones incluidas dentro de la imagen, añadir o borrar archivos, e incluso grabar la ISO directamente a CDo DVD.

Lo nuevo en Magic ISO Maker:
*Compatible con Windows 7
*Correcciones


Requisitos:
Sistema operativo: Win98/98SE/Me/2000/XP/Vista/7

Descarga:
GigaSize

Magic ISO Maker 5.5 Build 276 Full/Magic ISO Maker 5.5 Build 276 Portable

Read More..

Opera v10 00 Multilenguaje

Opera es un navegador muy a tener en cuenta es algo que todo el mundo conoce hoy en día. Cargado de funciones, pero sin que eso afecte a su rapidez, es un navegador que "se hace querer".

La versión 10 de Opera tiene un soporte inmejorable para los estándares web. No es de extrañar por tanto que el nuevo motor Presto le proporcione una puntuación de 100/100 en el conocido ACID3 Test, soporte total para selectores CSS, fuentes personalizadas y toda una serie de tecnologías con las que el resto de navegadores aún sólo pueden soñar.

Hace un tiempo Opera nos sorprendía incorporando en su navegador los Widgets. Hoy en día vuelve a sorprendernos con la tecnología Opera Unite, que te permite interactuar con Internet de diversos modos a través de tu navegador: chat, servidor web, notas, compartición de archivos e imágenes. Un único requisito: tener Opera abierto.

Opera 10 también incorpora nuevas funciones: corrector ortográfico, actualización automática y envío de correo electrónico como HTML o texto plano, así como el chat en IRC y la sincronización de los favoritos con tu cuenta Opera..

En definitiva y a modo de resumen, si ya usabas las versiones anteriores de Opera, ésta te apasionará, y si no era así, merece bien la pena darle una oportunidad a este ágil y versátil navegador que gusta a todo el mundo.

Compatible con:
Sistema operativo: Win98SE/Me/2000/XP/Vista/7

Descarga:

Opera 10 Multilenguaje:
MegaUpload/desde Opera


Read More..

NOD32 Antivirus 5 2 9 download software security pc for free

NOD32 Antivirus 5.2.9: download software security pc for free
Free PROGRAMS
NOD32 Antivirus 5.2.9 through a number of operations for this utility is to keep the balance of your machine. It helps to preserve important and sensitive information of the types of possible threats.

NOD32 Antivirus: provides comprehensive protection against a wide range of malware, the program even provide protection against future threats likely.

Capabilities NOD32 Antivirus is not limited to viruses, hackers, adware and spyware can slow your PC, but also to fight against tomorrows threats in real time, its interface is simple.

NOD32 is a very effective way, it is easy to download both versions offer more than one free trial, and another purchase.
Download Nod32 Antivirus 5.2.9 for free  program4secure.blogspot.com
Read More..

Download SafeIP 2 0 0 1021

Free PROGRAMS
Download SafeIP 2.0.0.1021

SafeIP is a free Internet privacy program for protecting your online identity and unblocking websites. Surf anonymously, hide your IP address, protect your online identity, unblock websites, and more with SafeIP. You can change your IP proxy location, view your original IP address, and see a quick overview of which protection settings you have turned on. Easily select your preferred proxy IP location and change your IP at anytime. The automatic startup option will ensure your IP is protected at all times, even before your Internet connection starts. Stop ads, cookies, and malware, while using our proprietary Browser Fingerprint anti-tracking technology. Application translations available in multiple languages. SafeIP also has the very suefull features such as Malware Protection, Ad Blocking, Cookie Protection, Referring URL Protection, Browser ID Protection, WiFi and DNS Protection.

When the Malware Protection feature is enabled, SafeIP will block a list of known malware, spam, and phishing sites. The database for this feature is updated in real-time. Enabling this feature protects from new threats, it does not scan your PC for current and past infections.

When Ad Blocking is enabled, SafeIP will subscribe to a real-time database of advertisement websites and block them. This feature will speed up your web browsing, as fewer texts, images or videos from advertisements will load.

Enabling Cookie Protection feature will block all cookies. Your web browser will not be able to store any cookies, which may prevent some websites from working. When this feature is on, websites will not be able to track you with cookies.

To prevent your web browser from exposing your Referring URL, also known as a “Referer Header”, enable the Referring URL Protection feature on the Settings window. This feature is compatible with all web browsers.
All applications are supported to use SafeIP, but you must have a Pro License to use Torrent programs and to unlock extra features.

• Anonymous proxy IPs help hide your true online identity and unblock websites. Protect your online identity by hiding your IP from websites, email, games, and more.
• Secure SSL proxy encryption with WiFi protection. Encrypt all your Internet traffic with a private proxy, either browsing at home or on public WiFi hotspots.
• Fast unlimited access to unblock any website. Choose the anonymous IP location closest to you for the fastest connection speeds.
• Free download for Windows with no ads or expiration. SafeIP can be used completely free for unlimited use, without advertisements and never expires.

Supported OS: Windows 2000, XP, Vista, Windows 7, Windows 8 (32-bit & 64-bit).

Download SafeIP Freeware program4secure.blogspot.com
Read More..

Getting Back on Track with Better School Assessment

When one person thinks about school assessment, they will probably come to the conclusion of student tests. Many questions arise when school officials are testing the students and figuring out what they have learned during the year. Teaching pattern is different from school to school. With this said, students will find out how much they know after they take the test. Most school educators are on the path of trying to restructure the education system. Every student learns the material that is taught in the classroom differently. Making higher standards to raise the bar of high expectation is slowly happening across many states. As years pass the curriculum will be different than the past education system.

Reforming education may sound like drastic change since it is upgrading the standards to higher curriculum. Sometimes change can be good whereas the satisfied people will want everything the same. I will not say that changing the way students learn is bad. The No Child Left Behind Act has left out the cultural arts. Subjects such as social studies and science have been left out to only focus on mathematical and reading skills. In order to give a student a well-rounded, quality education, they should be taught everything to the fullest by the teacher. The No Child Left Behind could be used as an alternative curriculum rather than the one used by the local school district.

Just bluntly saying the law signed by President Bush is outright wrong is not the right way to approach this issue. Giving out more assessments will help out if it is done in a good way. There is nothing wrong testing students to see how well did in given school year. The real reason to testing the students is to find out how to better prepare our students to be able to think critically and be success. To restructure the education system is to make changes that will benefit the students as well as students. If the parents are not trained or not active in their child’s academic life, than there are failing on their half of the game.

Giving school assessments can be good to see how a school district is doing and if they need an improvement in a certain area. The issues that are going on in schools now are limiting the teachers to be able to take full control of teaching their students. Solving the other issues that are roadblocks in the way of a student to learn will help the focus of education. To reform the learning process includes the foundation. It should be sought out to find the mission statement in order to keep the focus on why students are in school and the learning goals. This is a good desire that is needed. Getting down to the basis of school assessment will help the American school system. Playing the blame game will not change anything nor will it help a problem come to a conclusion.

Related Posts

  • School Shootings
  • Parents Should Be Involved
  • The Rising Age of School Assessment
  • Outside Event 3: Dr. George Lord
Read More..

Aprovechar RapidShare con Firefox y Orbit

Este post está destinado a todos aquellos felices usuarios de cuentas Premium de Rapidshare. El cual les hará ahorrar MUCHO tiempo. Quiero explicar un poco, como poder descargar con Orbit + Flashgot (para esto es necesario usar Mozilla Firefox).[Ver post]

Bien, y algunos se preguntarán, para qué usar un gestor de descargas pudiendo descargarlos manualmente? Bien, la respuesta es muy sencilla, COMODIDAD, es decir, yo cuando veo 70 enlaces de un HDTV (por ejemplo) es una barbaridad pinchando enlace por enlace, por lo que con esto con seleccionar todos los enlaces se descargan sólos.

Continuamos con el Tutorial.

Los pasos son los siguientes:
1.-Como dice, bajar el Mozilla Firefox.
2.-Descargar Orbit e instalarlo.
3.-Descargar la extensión del Firefox llamada Flashgot e instalarla.

Llegado a este punto, es necesario configurar el Flashgot para que elija como gestor de descargas el Orbit. Entramos a Herramientas, Flashgot, Otras Opciones y como gestor de descarga nos tiene que aparecer Orbit.

Ahora nos toca configurar el Orbit, para que logueé con nuestra cuentra premium y así no tener ningún problema, por lo que vamos a Inicio/Programas/Orbit/Orbit y entramos en el menu Herramientas/Preferencias.

Conexiones a Sitios / Nuevo y añadimos los datos de nuestra cuenta premium

El siguiente paso importante, configurar nuestra cuenta Rapidshare. Por lo que entramos a la web de Rapidshare y nos logueamos en ella, encontraremos una pantalla similar a esta y hacemos click en la opción Settings:

Por lo que tendremos que elegir la opción de Direct Downloads y elegir Random Selection.

Terminados estos pasos, llegamos a la parte que más nos interesa, poder descargar desde Rapidshare rapidamente.

1.-Entramos a la página de Rapidshare (https://ssl.rapidshare.com/cgi-bin/premiumzone.cgi y nos logueamos con nuestra cuenta premium. (Paso MUY IMPORTANTE este, por las cookies, sino les bajará archivos de 5 o 6 kb nomás y empezarán a preguntar el porqué).
2.-Buscamos que deseamos descargar, yo voy a hacer una muestra con un juego, por ejemplo Guitar Hero III: Legend of Rock, que mi compañero Elros Sama postio.(http://files-downloads.blogspot.com/2008/11/guitar-hero-iii-legend-of-rock-full-pc.html)
3.-Como ven tiene varios enlaces, Y como hacer para descargar todo a la vez? Pues muy sencillo, seleccionamos todos los enlaces con el boton izquierdo del raton y hacemos click con el boton derecho, nos saldrá un menú similar a este:
4.-Le damos a Descargar Selección y se nos abrirá un menú igual que este:
5.-Pues seleccionamos todos los partes dandole a Cambiar el estado de elección y seleccionamos la ruta donde queremos guardar los archivos...

Listo, ahora a descargar.
Saludos...

Read More..